Kugwiritsa Ntchito Mphamvu Zosinthika za LCD Kutengera DWIN T5L ASIC

——Anagawidwa kuchokera ku DWIN Froum

Pogwiritsa ntchito chipangizo cha DWIN T5L1 monga phata la makina onse, kulandira ndi kukonza kukhudza, kupeza kwa ADC, chidziwitso cha PWM chowongolera, ndikuyendetsa chophimba cha 3.5-inch LCD kuti chisonyeze momwe zilili panopa mu nthawi yeniyeni.Kuthandizira kusintha kwakutali kwa kuwala kwa gwero la kuwala kwa LED kudzera mu module ya WiFi, ndi alamu yothandizira mawu.

Zofunika za pulogalamu:

1. Adopt T5L chip kuti azithamanga pafupipafupi, AD sampling analogi ndi yokhazikika, ndipo cholakwikacho ndi chaching'ono;

2. Thandizani TYPE C yolumikizidwa mwachindunji ndi PC kuti iwonongeke ndikuwotcha pulogalamu;

3. Kuthandizira mawonekedwe othamanga kwambiri a OS pachimake, doko la 16bit lofanana;UI core PWM port, AD port lead out, yotsika mtengo yopangira, palibe chifukwa chowonjezera MCU;

4. Thandizani WiFi, Bluetooth kutali;

5. Support 5 ~ 12V DC lonse voteji ndi osiyanasiyana athandizira

chithunzi1

1.1 Chiwonetsero cha pulogalamu

chithunzi2

1.2 PCB board

chithunzi3

1.3 Mawonekedwe a ogwiritsa ntchito

Chiyambi cha manyazi:

(1) Mapangidwe amagetsi amagetsi

chithunzi4

Chithunzi cha 1.4T5L48320C035

1. MCU logic mphamvu magetsi 3.3V: C18, C26, C27, C28, C29, C31, C32, C33;

2. MCU core magetsi 1.25V: C23, C24;

3. MCU analogi mphamvu magetsi 3.3V: C35 ndi analogi mphamvu kotunga kwa MCU.Mukayika makina, maziko a 1.25V ndi malo omveka amatha kuphatikizidwa pamodzi, koma malo a analogi ayenera kupatulidwa.Malo a analogi ndi malo a digito ayenera kusonkhanitsidwa pamtengo woipa wa LDO linanena bungwe lalikulu capacitor, ndi analogi positive pole ayeneranso kusonkhanitsidwa pa mtengo wabwino wa LDO lalikulu capacitor, kotero kuti AD zitsanzo Phokoso kuchepetsedwa.

4. AD yopezera chizindikiro cha analogi: CP1 ndi AD analogi yolowetsa fyuluta capacitor.Pofuna kuchepetsa zolakwika zachitsanzo, malo a analogi ndi digito ya MCU amasiyanitsidwa paokha.Mzati yoyipa ya CP1 iyenera kulumikizidwa ndi malo a analoji a MCU osasokoneza pang'ono, ndipo ma capacitor awiri ofanana a crystal oscillator amalumikizidwa ndi malo a analogi a MCU.

5. Buzzer circuit: C25 ndi capacitor capacitor kwa buzzer.Buzzer ndi chipangizo chothandizira, ndipo padzakhala nsonga yamagetsi panthawi yogwira ntchito.Pofuna kuchepetsa nsonga, m'pofunika kuchepetsa MOS pagalimoto panopa ya buzzer kuti MOS chubu ntchito m'dera liniya, ndi kupanga dera kuti ntchito mu lophimba mode.Zindikirani kuti R18 iyenera kulumikizidwa mofananira kumapeto onse a buzzer kuti musinthe mtundu wamamvekedwe a buzzer ndikupanga buzzer kumveka bwino komanso kosangalatsa.

6. WiFi dera: WiFi chip sampling ESP32-C, ndi WiFi+Bluetooth+BLE.Pa mawaya, malo opangira magetsi a RF ndi malo owonetsera amasiyanitsidwa.

chithunzi5

1.5 mawonekedwe ozungulira a WiFi

Mu chithunzi pamwambapa, kumtunda kwa zokutira zamkuwa ndi mphamvu yapansi loop.Malo owonetsera antenna a WiFi akuyenera kukhala ndi malo akuluakulu mpaka pansi pamagetsi, ndipo malo osungiramo magetsi ndi mtengo woipa wa C6.Chiwonetsero chamakono chiyenera kuperekedwa pakati pa malo opangira magetsi ndi mlongoti wa WiFi, kotero payenera kukhala zokutira zamkuwa pansi pa mlongoti wa WiFi.Kutalika kwa zokutira zamkuwa kumapitilira kutalika kwa antenna ya WiFi, ndipo kukulitsa kumawonjezera chidwi cha WiFi;lozani pamtengo woyipa wa C2.Malo ambiri amkuwa amatha kuteteza phokoso lomwe limayambitsidwa ndi ma radiation a WiFi antenna.The 2 maziko mkuwa anapatukana pa wosanjikiza pansi ndi kusonkhanitsa kwa PAD pakati pa ESP32-C kudzera vias.Malo opangira magetsi a RF amafunikira chotchinga chocheperako kuposa cholumikizira cholumikizira, chifukwa chake pali ma vias 6 kuchokera pansi pamagetsi kupita ku chip pad kuti atsimikizire kutsika kokwanira.Kuzungulira kwapansi kwa crystal oscillator sikungakhale ndi mphamvu ya RF yodutsamo, apo ayi crystal oscillator itulutsa jitter pafupipafupi, ndipo ma frequency a WiFi sangathe kutumiza ndi kulandira deta.

7. Backlight LED magetsi magetsi: SOT23-6LED driver chip sampling.Mphamvu yamagetsi ya DC / DC yopita ku LED imapanga zozungulira, ndipo malo a DC / DC amalumikizidwa ndi 3.3V LOD nthaka.Popeza doko la PWM2 lakhala lapadera, limatulutsa chizindikiro cha 600K PWM, ndipo RC imawonjezedwa kuti igwiritse ntchito zotsatira za PWM monga ON/OFF control.

8. Voltage athandizira osiyanasiyana: awiri DC / DC sitepe-pansi amapangidwa.Dziwani kuti zotsutsa za R13 ndi R17 mudera la DC/DC sizingasiyidwe.Ma tchipisi awiri a DC/DC amathandizira mpaka 18V kulowetsa, komwe kuli kosavuta kuphatikizira magetsi akunja.

9. USB TYPE C debug port: TYPE C ikhoza kulumikizidwa ndikumasulidwa kutsogolo ndi kumbuyo.Kuyika kwapatsogolo kumalumikizana ndi chipangizo cha WIFI ESP32-C kuti mupange pulogalamu ya WIFI;kulowetsa m'mbuyo kumalumikizana ndi XR21V1410IL16 kuti ipange T5L.TYPE C imathandizira magetsi a 5V.

10. Kuyankhulana kwapadoko: T5L OS pachimake ili ndi madoko ambiri aulere a IO, ndipo kulumikizana kwa doko kwa 16bit kumatha kupangidwa.Kuphatikizidwa ndi ST ARM FMC parallel port protocol, imathandizira kuwerenga ndi kulemba molumikizana.

11. LCM RGB mawonekedwe othamanga kwambiri: Kutulutsa kwa T5L RGB kumalumikizidwa mwachindunji ndi LCM RGB, ndipo kukana kwa buffer kumawonjezeredwa pakati kuti kuchepetsa kusokonezeka kwa madzi a LCM.Pamene mawaya, kuchepetsa kutalika kwa mawonekedwe a RGB mawonekedwe, makamaka chizindikiro cha PCLK, ndikuwonjezera mawonekedwe a RGB PCLK, HS, VS, DE mayeso;doko la SPI la chinsalu limalumikizidwa ndi madoko a P2.4 ~ P2.7 a T5L, omwe ndi abwino kupanga dalaivala wa skrini.Atsogolereni RST, nCS, SDA, SCI test point kuti muthandizire kupanga mapulogalamu omwe ali pansi.

(2) DGUS mawonekedwe

chithunzi6 chithunzi7

1.6 Kuwongolera kosinthika kwa data

(3) OS
//————————————DGUS kuwerenga ndi kulemba mtundu
mtundu wa typedef
{
u16 pa;// UI 16bit adilesi yosinthika
u8 datLen;//8bitdata kutalika
u8 *pBuf;//8bit data pointer
} UI_packTypeDef;//DGUS werengani ndi kulemba mapaketi

//———————————-data variable display control
mtundu wa typedef
{
u16 VP;
ku16 X;
ndi 16y;
u16 Mtundu;
u8 Lib_ID;
u8 FontSize;
u8 Kulumikizana;
u8 IntNum;
u8 DecNum;
u8 mtundu;
u8 LenUint;
u8 StringUinit[11];
} Number_spTypeDef;//mafotokozedwe osinthika a data

mtundu wa typedef
{
Number_spTypeDef sp;// tanthauzirani cholozera cha SP
UI_packTypeDef spPack;// tanthauzira SP variable DGUS kuwerenga ndi kulemba phukusi
UI_packTypeDef vpPack;// tanthauzirani vp variable DGUS kuwerenga ndi kulemba phukusi
} Number_HandleTypeDef;//mapangidwe osinthika a data

Ndi matanthauzo a kagwiridwe ka data akale.Kenako, fotokozani kusinthika kwa chiwonetsero cha sampuli yamagetsi:
Number_HandleTypeDef Hsample;
u16 voltage_sample;

Choyamba, gwiritsani ntchito zoyambira
NumberSP_Init(&Hsample,voltage_sample,0×8000);//0 × 8000 nayi cholozera chofotokozera
//——Kusintha kwa data komwe kukuwonetsa kukhazikitsidwa kwa cholozera cha SP——
void NumberSP_Init(Number_HandleTypeDef *nambala,u8 *value, u16 numberAddr)
{
number->spPack.addr = numberAddr;
number->spPack.datLen = sizeof(nambala->sp);
nambala->spPack.pBuf = (u8 *)&nambala->sp;
        
Werengani_Dgus(&nambala->spPack);
number-> vpPack.addr = number->sp.VP;
sinthani (nambala-> sp.Type) // Kutalika kwa data kwa vp kumasankhidwa molingana ndi mtundu wa data womwe umapangidwira mu mawonekedwe a DGUS.

{
gawo 0:
nkhani 5:
nambala->vpPack.datLen = 2;
kupuma;
nkhani 1:
nkhani 2:
nkhani 3:
chigawo 6:
nambala->vpPack.datLen = 4;
nkhani 4:
nambala->vpPack.datLen = 8;
kupuma;
}
number->vpPack.pBuf = mtengo;
}

Pambuyo poyambitsa, Hsample.sp ndiye cholozera chofotokozera cha kusintha kwa data ya sampling voltage;Hsample.spPack ndi cholozera cholumikizira pakati pa OS core ndi UI voltage sampling data variable kudzera mu mawonekedwe a DGUS;Hsample.vpPack ndi chikhumbo chosintha ma voltage sampling data variable, monga Font Colours, ndi zina zotero amaperekedwanso ku UI pachimake kudzera mu mawonekedwe a DGUS.Hsample.vpPack.addr ndi adilesi yosinthika ya data ya sampling yamagetsi, yomwe idapezedwa yokha kuchokera pakuyambitsa.Mukasintha adilesi yosinthika kapena mtundu wa data wosinthika mu mawonekedwe a DGUS, palibe chifukwa chosinthira ma adilesi osinthika mu OS core synchronously.Pambuyo pa OS core kuwerengera kusinthasintha kwa voltage_sample, kumangofunika kuchita ntchito ya Write_Dgus(&Hsample.vpPack) kuti isinthe.Palibe chifukwa chonyamula voliyumu_sample pakutumiza kwa DGUS.


Nthawi yotumiza: Jun-15-2022